半导体制造:又逢更新换代时


时间:2010-06-21





  环保意识的提升,首当其冲的就是对各种电子产品能效指标的愈加严格,功耗管理及其对系统成本和性能的影响是当前电子系统设计人员和制造商所首要关注的问题。随着竞争日益激烈,尽力降低功耗、加强对热耗散的有效管理、并同时在由价格和性能驱动的功能方面保持领先等更加不可或缺。与众多先进电源管理方案实现降低系统功耗相比,制程工艺的进步才是提升性能和降低功耗最根本的办法,转向更高制程无疑是提升半导体产品性能功耗比和市场竞争力最直接有效的办法。

  市场研究机构Gartner Dataquest产业分析师Kay-Yang Tan表示,过去数十年来,集成器件制造商IDM在工艺技术及服务的创新方面扮演领航者的角色,未来也将继续在新世代产品的开发上扮演重要的角色。专业集成电路制造服务领域所产出芯片的市场销售金额占全球半导体业的比例已从1998年的9.2%增加到2009的28.1%。

  经历过经济危机的洗礼之后,半导体市场从2009年下半年开始强力反弹,在这样的市场大环境推动下,2010年又将迎来一个制程工艺全面革新换代的年份。2009年,Intel已经迈入了32nm时代,今年领先的几大代工厂商均已经宣布开始量产32nm的博弈。TSMC台积电、IBM联盟以及三星都已经在2009年公开了自己2010年的工艺革新计划,只是,这次三大代工阵营似乎如商量好般直接发布自己的28nm工艺,而跳过了32nm这个主节点。

  代工厂的进程

  28nm工艺是目前几大主流Foundry代工厂提供的新一代工艺节点,代工界龙头TSMC计划于2010年第三季与第四季依序推出28LP 低耗电及28HP高效能的工艺以满足客户的需求,并且于2011年第一季再推出28HPL 高效能低耗电的后续工艺。一般而言,大规模的生产时程会于推出半年后开始。TSMC将同时提供客户高介电层/金属栅HKMG,High-k Metal Gate及氮氧化硅SiON,Silicon Oxynitride两种材料选择,与40nm工艺相较,栅密度更高、速度更快、功耗更少。TSMC负责研发的资深副总蒋尚义博士介绍,之所以选择跳过32nm,是因为工艺都是基于服务客户的需求。 相较于32nm,28nm的栅密度显然更高许多。同时考虑到客户在高效能对于速度以及无线移动通讯对于低耗电方面的要求分别推出以HKMG栅极工艺的28HP以及延续SiON闸极介电材料的28LP,相信会给客户带来更多在效能,耗电及成本方面的效益。


  TSMC的HKMG用于28HP高效能是全新的工艺,与40nm相较在相同漏电基础上有50%的速度提升,相同速度基础上漏电亦有大约50%的降低。HKMG的工艺成本会增加,但是TSMC在每一代的工艺都会给客户尽可能高的性价比。TSMC的28nm HKMG比一般32nm有更高的栅密度、更快的速度、更低的耗电,同时HKMG更进一步降低了栅极的漏电。尽管也有竞争对手同时有采用Gate first的工艺,但是这种单一金属材料很难同时让NMOS、 PMOS 达到功能的匹配。TSMC使用不同的金属材料使得NMOS、PMOS在功能的匹配及Vt调整上都能达到要求。

  从设计角度,蒋尚义博士介绍,28nm与现在的4540nm这代工艺相比存在全新挑战。 TSMC在4540nm 采用了部分的设计准则限制Restricted Design Rule,但是到28nm设计准则限制的范围更加扩大;另外提取和仿真extraction and simulation需要处理更多的数据。为了应对这些挑战,TSMC和客户以及设计伙伴间对每个产品都必须更早及更紧密地联系及合作。

  为了提高合作的效能,TSMC为了先进工艺推出多项EDA技术档案。包括可互通的制程设计套件iPDK、制程设计规则检查iDRC、集成电路布局与电路图对比 iLVS,及制程电容电阻抽取模块 iRCX,其中iRCX就是为28nm推出的。

  与前一代工艺比较,为了依然能够达到让新工艺有大约2倍的栅密度gate density,TSMC的28nm 在线路布局方面有新的要求。同样地,将来更新工艺如 22/20nm在design rule设计准则方面会有许多新的要求与限制以达到栅密度倍增的要求。将来可以预见的是客户会更紧密地与晶圆代工伙伴合作以提前应对许多在制程工艺以及设计上更多的挑战,以达到上市以及上量的目标。


 Fabless择机而动

  处理器、FPGA与基带处理,这三个能够完成独立处理功能的半导体产品还有什么共同点?那就是必须一直坚持紧跟工艺革新的步伐,否则就将面对可能掉队的危险。这三种产品其实并不一定是采用最领先的制程就能制造出最符合市场需求的产品,但面对着越来越激烈的半导体产品竞争,三大产品线已经不得不咬紧牙关,把工艺紧跟到底。在众多Foundry已经公布28nm路线图的基础上,目前只有这三大应用的Fabless公司高调公布了自己的28nm产品战略。

  处理器

  Intel早已在2009年就开始32nm生产,作为目前唯一一个宣称要坚持到底的IDM厂商,Intel一直将工艺作为其产品的核心竞争力之一。而另一家处理器厂商AMD则还在与自己的代工厂GLOBALFOUNDRIESGF进行相关工艺开发,预计今年下半年可以推出3228nm的工艺。通用处理器IP厂商MIPS同样已经开始推出基于全新制程节点的参考设计提供给客户。

  便携处理器方面,GF和ARM共同发布了其尖端片上系统平台技术的新的细节,该平台技术专门针对下一代无线产品和应用。这一新的平台包括两种GF的工艺:针对移动和消费应用的28nm超低功耗SLP工艺和针对要求最高性能应用的28nm高性能HP工艺,预计GF将在2010年下半年启动制造生产。相较于以往的40/45nm技术, 28nm工艺及其Gate-First HKMG技术能够提供卓越的性能收益。根据目前的预计,28nm HKMG在相同的温度范围thermal envelope内提高约40%的计算性能,改善移动设备的应用性能,强化多任务处理能力。客户在广泛采用的Gate-First方法中的获益,现在也能在 HKMG工艺中取得。与40/45nm工艺相比,28nm HKMG工艺的结合能够提升30%的功耗效率,并将待机电池寿命延长了100%。

  FPGA

  Altera公司在今年2月初旧已经确定2010年将携手TSMC推出自己的28nmFPGA产品,同时在即将推出的28nm中采用的创新技术:嵌入式HardCopy模块、部分重新配置新方法以及嵌入式28/Gbs收发器,这些技术将极大的提高下一代Altera FPGA的密度和I/O性能,并进一步巩固相对于ASIC和ASSP的竞争优势。Altera总裁、主席兼CEO John Daane评论说:“随着向下一工艺节点的迈进,Altera的这些创新技术将引领业界超越摩尔定律,解决带宽挑战,同时满足成本和功耗要求。”

  赛灵思可编程平台开发全球高级副总裁 Victor Peng 指出:“在 28 nm这个节点上,静态功耗是器件总功耗的重要组成部分,有时甚至是决定性的因素。由我们选择了TSMC和三星的高介电层/金属栅 HKMG高性能低功耗工艺技术,以使新一代 FPGA 能最大限度地降低静态功耗,确保发挥28 nm技术所带来的最佳性能和功能优势。”赛灵思28nm工艺技术的相关产品将于 2010 年第四季度上市。


  基带处理器

  规模最大的fabless企业高通资深副总裁兼通讯科技总经理Jim Clifford表示,高通借由领先业界的整合、兼具功耗及成本效益的产品,为客户带来“利用最少资源,获得更多效益”的重要优势,其关键在于与Foundny的紧密合作,现在更进一步延伸至低耗电、低漏电的28nm进行量产。28nm工艺密度可较前一代工艺高出一倍,让进行移动计算的半导体器件能在更低耗电下提供更多功能。高通与TSMC目前在28nm的合作包括HKMG高效能工艺技术以及SiON的低耗电高速工艺技术。此外,高通预计于2010年中投产首批 28nm产品。


  出货量最大的Fabless企业—博通公司的运作和中央工程执行副总裁Neil Y. Kim认为:“我们有计划向下一代的制程技术转移。博通公司也正在研发下一代制程技术的设计平台,向先进制程参数转移的首要原因就是它可以提升性能、降低功耗、减小芯片尺寸,并且实现更高的集成度。我们的评估显示下一代先进制程可以提供上述所有好处。”对于博通而言,由于产品线相对比较广,因此Kim介绍,博通会根据市场需求进行不同产品的评估。大部分采用28/32nm制程技术的产品更具竞争力,根据市场需要博通已经做好准备迎接新制程技术的采用,28nm工艺可以为手机和其他应用提供更高的带宽和更低的能耗。此外,新工艺还将持续着力于实现更高程度的系统集成并推动融合。随着融合不断的推进,拥有更强大IP的公司可以更受益于新的制程技术。由于设计的复杂性产品研发的平均成本将会继续提升,他们会要求更多的资源、计算和存储。这就像是提高了入门成本,但是相信消费者也更快地意识到新工艺的好处。

  未来:全代CPU和半代SoC?

  按照摩尔定律的指引,多年来制程更新的尺寸都是前一代的0.7倍,随着存储器行业不断在PC的推动下快速成长,存储器逐渐超越CPU成为制程工艺的领导者,而存储颗粒的尺寸直接决定了存储器单位面积上的存储容量,这就让存储器厂商在如何缩减工艺尺寸上绞尽脑汁。于是,诞生了一个比标准工艺节点全代工艺更高密度的工艺,与其同属一代工艺而工艺尺寸只有全代的0.9。毫无疑问,这样的变化对Fab的改进不大,投入可以接受,却可以提供密度为全代工艺1.24倍的芯片,对客户来说,在成本支出不大的情况下可以让其产品更有性能竞争优势。对于这样的革新,某厂商赋予其一个带有些许感情色彩的称呼:半代!

  随着所有Foundry齐齐转向28nm的“半代”工艺,半导体极有可能以制程分成两个阵营,对于需要紧跟制程革新脚步的应用芯片来说,芯片的种类将直接决定了采用的制程工艺,由于大部分选择了代工,SoC及更接近SoC的FPGA等将绝大部分以“半代”工艺出现,而CPU依然在Intel的坚守下停留在“全代”工艺。当然,还有些许疑问等待未来证实:拥有CPU生产订单的GF是否会同时兼顾全代和半代两个阵营,还是将AMD的CPU推进到半代工艺;Intel重兵出击的SoC产品,比如Atom处理器等低功耗芯片,是继续坚持Intel的全代工艺还是转向更主流的SoC半代工艺。

  32nm的硝烟刚刚开始,22nm工艺的路线图也日渐清晰。旧金山秋季IDF 2009上,Intel总裁兼CEO Paul Otellini就展示了世界上第一块基于22nm工艺的晶圆,并宣布将于2011年下半年发布相应的处理器产品,开发代号Ivy Bridge。展示的测试晶圆采用22nm工艺结合第三代高K金属栅极HK+MG晶体管技术、193nm波长光刻设备制造而成,其上既有SRAM单元也有逻辑电路,并且切割出来的芯片已经可以实际工作,将成为Intel未来22nm处理器的基础。4月14日,TSMC蒋尚义博士谈到TSMC20nm工艺将比22nm工艺拥有更优异的栅密度以及芯片性价比,为先进技术芯片的设计人员提供了一个可靠、更具竞争优势的工艺平台。此外,20nm工艺预计于2012年下半开始导入生产。TSMC20nm工艺系在平面电晶体结构工艺planar process的基础上采用强化的高介电值/金属闸HKMG、创新的应变硅strained silicon与低电阻/超低介电值铜导线low-resistance copper ultra-low-k interconnect等技术。同时,在其他晶体管结构工艺方面,例如鳍式场效晶体管FinFet及高迁移率high-mobility元件,也展现了刷新记录的可行性feasibility指标结果。从技术层面来看,由于已经具备了创新微影技术以及必要的布局设计能力,TSMC因此决定直接导入20nm工艺。

  如此看来,IDM与Foundry之间已经明显出现制程节点的不同,这一趋势将持续存在下去。现在尚不能评价半代和全代工艺哪个更适合半导体产业的发展,至少半代总算是一种技术上的进步,只是做出半代还是全代选择的权利还是应该留给芯片设计者,在选择中去体验两种技术的不同也许对半导体产业来说才是更健康的发展之路。

  


来源:电子产品世界



  版权及免责声明:凡本网所属版权作品,转载时须获得授权并注明来源“中国产业经济信息网”,违者本网将保留追究其相关法律责任的权力。凡转载文章,不代表本网观点和立场。版权事宜请联系:010-65363056。

延伸阅读

热点视频

第六届中国报业党建工作座谈会(1) 第六届中国报业党建工作座谈会(1)

热点新闻

热点舆情

特色小镇

版权所有:中国产业经济信息网京ICP备11041399号-2京公网安备11010502003583